中文字幕无码不卡一区二区三区_少妇被又大又粗又爽毛片久久黑人_91精品国产在热久久无毒不卡_久久久久久亚洲综合网站

技術(shù)熱線: 4007-888-234

專注差異化嵌入式產(chǎn)品解決方案 給智能產(chǎn)品定制注入靈魂給予生命

提供開(kāi)發(fā)工具、應(yīng)用測(cè)試 完善的開(kāi)發(fā)代碼案例庫(kù)分享

從全面的產(chǎn)品導(dǎo)入到強(qiáng)大技術(shù)支援服務(wù) 全程貼心伴隨服務(wù),創(chuàng)造無(wú)限潛能!

技術(shù)支持

什么是CPLD?它與FPGA有什么不同?

更新時(shí)間: 2021-11-19

你知道CPLD嗎?它是“復(fù)雜可編程邏輯器件”的縮寫(xiě),它是一種數(shù)字IC,允許用戶根據(jù)需要多次重寫(xiě)和擦除程序。隨著CPLD等可編程器件的出現(xiàn),產(chǎn)品開(kāi)發(fā)變得更快、成本更低。在本文中,英銳恩單片機(jī)開(kāi)發(fā)工程師將介紹CPLD和PLD(可編程邏輯器件)。

一、什么是CPLD?

正如開(kāi)頭提到的,CPLD是Complex Programmable Logic Device的縮寫(xiě)。要了解該設(shè)備的外觀,您必須首先更好地了解PLD。

①什么是PLD?

PLD是可編程邏輯器件,意思是“可由用戶編程的邏輯電路”。過(guò)去,數(shù)字電路是使用專用IC和LSI構(gòu)建的。

專用芯片已經(jīng)商業(yè)化,已經(jīng)安裝了固定門(mén),雖然方便,但不支持規(guī)格更改或定制。這意味著如果在產(chǎn)品開(kāi)發(fā)的某個(gè)階段出現(xiàn)問(wèn)題并且決定更改規(guī)格,產(chǎn)品開(kāi)發(fā)工程師將不得不再次購(gòu)買(mǎi)新的專用芯片。不僅成本高,而且浪費(fèi)時(shí)間。因此,從1970年代左右開(kāi)始,即使用戶購(gòu)買(mǎi)產(chǎn)品后也可以重寫(xiě)自己的程序的通用設(shè)備已經(jīng)面世。

由于PLD可以在開(kāi)發(fā)的任何階段從外部寫(xiě)入和擦除程序,因此它可以立即響應(yīng)中間的規(guī)范更改和定制。因此,產(chǎn)品的開(kāi)發(fā)速度變得更快了,對(duì)很多廠商來(lái)說(shuō)都派上了用場(chǎng)。

根據(jù)電路規(guī)模和結(jié)構(gòu),有幾種類(lèi)型的PLD。其中包括配備數(shù)百個(gè)門(mén)的PAL(可編程陣列邏輯)和GAL(通用陣列邏輯),以及擁有數(shù)萬(wàn)個(gè)或更多門(mén)的極高集成度的FPGA(現(xiàn)場(chǎng)可編程門(mén)陣列)。

②什么是CPLD?

如上所述,CPLD是通用芯片,購(gòu)買(mǎi)后可以改寫(xiě)程序。首先,數(shù)字電路真的很簡(jiǎn)單,開(kāi)/關(guān)控制和輸入/輸出控制是由組成門(mén)的AND電路、OR電路和NOT電路完成的。

在CPLD中,這個(gè)門(mén)可以任意改寫(xiě)。作為具體的編程流程,首先,在硬件描述語(yǔ)言(HDL)中描述設(shè)計(jì)(設(shè)計(jì)入口)。這種硬件描述語(yǔ)言是一種非常流行的語(yǔ)言,不僅適用于CPLD,而且適用于數(shù)字電路設(shè)計(jì)。有VerilogHDL和VHDL(Very High Speed IC DHL)。根據(jù)這種設(shè)計(jì),PLD將改變規(guī)格。這里設(shè)計(jì)的電路是仿真的。

我們可以在實(shí)際商業(yè)化之前檢查操作是否符合設(shè)計(jì)。這消除了商業(yè)化后“早知道應(yīng)該這樣設(shè)計(jì)”的風(fēng)險(xiǎn)。在大多數(shù)情況下,CPLD中執(zhí)行批處理編譯(即可以理解并通過(guò)計(jì)算機(jī),諸如邏輯綜合執(zhí)行執(zhí)行語(yǔ)言轉(zhuǎn)換)一個(gè)單一的點(diǎn)擊。將這樣創(chuàng)建的電路放置在任意位置并接線,即可完成。此外,根據(jù)產(chǎn)品的布局和接線,相應(yīng)的引腳可能會(huì)有所不同,因此請(qǐng)?zhí)崆安榭磾?shù)據(jù)表等。

③CPLD與FPGA的區(qū)別

作為PLD,您經(jīng)常會(huì)看到術(shù)語(yǔ)FPGA。這是Field Programmable Gate Array的縮寫(xiě),可以看到它是一種類(lèi)似于CPLD的器件,譯為“可以在現(xiàn)場(chǎng)改寫(xiě)電路的集成電路”。然而,最大的區(qū)別是“規(guī)?!薄H缟纤?,F(xiàn)PGA是具有數(shù)萬(wàn)個(gè)或更多門(mén)的龐大規(guī)模的PLD,而CPLD則是具有數(shù)千個(gè)門(mén)的集成度。

此外,F(xiàn)PGA將已編程的設(shè)計(jì)存儲(chǔ)在SRAM等易失性存儲(chǔ)器中,而CPLD將其存儲(chǔ)在非易失性存儲(chǔ)器中。然而,一些FPGA也使用非易失性存儲(chǔ)器。再者,一般的FPGA非常靈活,擅長(zhǎng)自由設(shè)計(jì)。因此,可以說(shuō)它也適用于復(fù)雜的數(shù)字電路設(shè)計(jì)。另一方面,CPLD的規(guī)格并不低。CPLD還能夠進(jìn)行極其高級(jí)的編程,并且具有比FPGA便宜的優(yōu)勢(shì)。因此,哪種更合適取決于電路規(guī)模等使用條件。

二、CPLD的應(yīng)用場(chǎng)景

CPLD的使用再次使產(chǎn)品開(kāi)發(fā)更快更順暢。不僅可以更改和定制規(guī)格,還可以在開(kāi)發(fā)過(guò)程中進(jìn)行模擬,從而將開(kāi)發(fā)風(fēng)險(xiǎn)降至最低。此外,與許多PLD一樣,使用硬件描述語(yǔ)言可以更輕松地進(jìn)行設(shè)計(jì),而無(wú)需物理創(chuàng)建電路。

現(xiàn)代產(chǎn)品開(kāi)發(fā)的速度與日俱增。環(huán)顧四周,有很多新鮮事物??梢哉f(shuō),在這樣的現(xiàn)代公司的產(chǎn)品開(kāi)發(fā)中,CPLD是不可或缺的。

以上就是英銳恩單片機(jī)開(kāi)發(fā)工程師分享的“什么是CPLD?它與FPGA有什么不同?”。英銳恩專注單片機(jī)應(yīng)用方案設(shè)計(jì)與開(kāi)發(fā),提供8位單片機(jī)、16位單片機(jī)、32位單片機(jī)。

404
返回首頁(yè) |  返回上一頁(yè)
丰台区| 洛阳市| 广南县| 崇文区| 邵武市| 德阳市| 密云县| 如皋市| 呈贡县| 东平县| 内江市| 唐海县| 新巴尔虎左旗| 宝丰县| 道孚县| 普兰县| 许昌市| 南安市| 和田县| 宝应县| 建瓯市| 高唐县| 台湾省| 丹巴县| 东宁县| 渝中区| 龙门县| 宜阳县| 弥渡县| 开封县| 湟源县| 邓州市| 呼图壁县| 安福县| 云阳县| 河间市| 邢台市| 琼海市| 阳谷县| 漳浦县| 双流县|